學(xué)習(xí)啦 > 論文大全 > 畢業(yè)論文 > 工學(xué)論文 > 電子機(jī)械 >

淺談電子工程設(shè)計(jì)的EDA技術(shù)

時(shí)間: 葛云海1 分享
  摘要介紹EDA技術(shù)的基本概念和發(fā)展過程,以及EDA技術(shù)的基本特點(diǎn)和使用的軟件,以及EDA技術(shù)在電子工程設(shè)計(jì)中的重要作用,最后指出EDA技術(shù)發(fā)展前景。
  關(guān)鍵詞EDA技術(shù);電子工程
  1EDA技術(shù)的基本概念
  EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫,是從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助測試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來的。EDA技術(shù)是以計(jì)算機(jī)為工具,集數(shù)據(jù)庫、圖形學(xué)、圖論與拓?fù)溥壿?、?jì)算數(shù)學(xué)、優(yōu)化理論等多學(xué)科最新理論于一體,是計(jì)算機(jī)信息技術(shù)、微電子技術(shù)、電路理論、信息分析與信號(hào)處理的結(jié)晶。
  2EDA技術(shù)的發(fā)展過程
  EDA技術(shù)的發(fā)展過程反映了近代電子產(chǎn)品設(shè)計(jì)技術(shù)的一段歷史進(jìn)程,大致分為3個(gè)時(shí)期。
  1)初級(jí)階段:早期階段即是CAD(Computer Assist Design)階段,大致在20世紀(jì)70年代,當(dāng)時(shí)中小規(guī)模集成電路已經(jīng)出現(xiàn),傳統(tǒng)的手工制圖設(shè)計(jì)印刷電路板和集成電路的方法效率低、花費(fèi)大、制造周期長。人們開始借助于計(jì)算機(jī)完成印制電路板一PCB設(shè)計(jì),將產(chǎn)品設(shè)計(jì)過程中高重復(fù)性的繁雜勞動(dòng)如布圖布線工作用二維平面圖形編輯與分析的CAD工具代替,主要功能是交互圖形編輯,設(shè)計(jì)規(guī)則檢查,解決晶體管級(jí)版圖設(shè)計(jì)、PCB布局布線、門級(jí)電路模擬和測試。
  2)發(fā)展階段:20世紀(jì)80年代是EDA技術(shù)的發(fā)展和完善階段,即進(jìn)入到CAE(Computer Assist Engineering Design)階段。由于集成電路規(guī)模的逐步擴(kuò)大和電子系統(tǒng)的日趨復(fù)雜,人們進(jìn)一步開發(fā)設(shè)計(jì)軟件,將各個(gè)CAD工具集成為系統(tǒng),從而加強(qiáng)了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),該時(shí)期的EDA技術(shù)已經(jīng)延伸到半導(dǎo)體芯片的設(shè)計(jì),生產(chǎn)出可編程半導(dǎo)體芯片。
  3)成熟階段:20世紀(jì)90年代以后微電子技術(shù)突飛猛進(jìn),一個(gè)芯片上可以集成幾百萬、幾千萬乃至上億個(gè)晶體管,這給EDA技術(shù)提出了更高的要求,也促進(jìn)了EDA技術(shù)的大發(fā)展。各公司相繼開發(fā)出了大規(guī)模的EDA軟件系統(tǒng),這時(shí)出現(xiàn)了以高級(jí)語言描述、系統(tǒng)級(jí)仿真和綜合技術(shù)為特征的EDA技術(shù)。
  3EDA技術(shù)的特點(diǎn)
  EDA技術(shù)代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是采用高級(jí)語言描述,即硬件描述語言HDL(Hardware Description Language),就是可以描述硬件電路的功能。信號(hào)連接關(guān)系及定時(shí)關(guān)系的語言。它比電原理圖更有效地表示硬件電路的特性,同時(shí)具有系統(tǒng)仿真和綜合能力,具體歸納為以下幾點(diǎn):
  1)現(xiàn)代化EDA技術(shù)大多采用“自頂向下(Top-Down)”的設(shè)計(jì)程序,從而確保設(shè)計(jì)方案整體的合理和優(yōu)化,避免“自底向上(Bottom-up)”設(shè)計(jì)過程使局部優(yōu)化,整體結(jié)構(gòu)較差的缺陷。
  2)HDL給設(shè)計(jì)帶來很多優(yōu)點(diǎn):①語言公開可利用;②語言描述范圍寬廣;③使設(shè)計(jì)與工藝無關(guān);④可以系統(tǒng)編程和現(xiàn)場編程,使設(shè)計(jì)便于交流、保存、修改和重復(fù)使用,能夠?qū)崿F(xiàn)在線升級(jí)。
  3)自動(dòng)化程度高,設(shè)計(jì)過程中隨時(shí)可以進(jìn)行各級(jí)的仿真、糾錯(cuò)和調(diào)試,使設(shè)計(jì)者能早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯(cuò)誤,避免設(shè)計(jì)工作的浪費(fèi),同時(shí)設(shè)計(jì)人員可以拋開一些具體細(xì)節(jié)問題,從而把主要精力集中在系統(tǒng)的開發(fā)上,保證設(shè)計(jì)的高效率、低成本,且產(chǎn)品開發(fā)周期短、循環(huán)快。
  4)可以并行操作,現(xiàn)代EDA技術(shù)建立了并行工程框架結(jié)構(gòu)的工作環(huán)境。從而保證和支持多人同時(shí)并行地進(jìn)行電子系統(tǒng)的設(shè)計(jì)和開發(fā)。
  4EDA技術(shù)的作用
  EDA技術(shù)在電子工程設(shè)計(jì)中發(fā)揮著不可替代的作用,主要表現(xiàn)在以下幾個(gè)方面:
  4.1驗(yàn)證電路設(shè)計(jì)方案的正確性
  設(shè)計(jì)方案確定之后,首先采用系統(tǒng)仿真或結(jié)構(gòu)模擬的方法驗(yàn)證設(shè)計(jì)方案的可行性,這只要確定系統(tǒng)各個(gè)環(huán)節(jié)的傳遞函數(shù)(數(shù)學(xué)模型)便可實(shí)現(xiàn)。這種系統(tǒng)仿真技術(shù)可推廣應(yīng)用于非電專業(yè)的系統(tǒng)設(shè)計(jì),或某種新理論、新構(gòu)思的設(shè)計(jì)方案。仿真之后對構(gòu)成系統(tǒng)的各電路結(jié)構(gòu)進(jìn)行模擬分析,以判斷電路結(jié)構(gòu)設(shè)計(jì)的正確性及性能指標(biāo)的可實(shí)現(xiàn)性。這種量化分析方法對于提高工程設(shè)計(jì)水平和產(chǎn)品質(zhì)量,具有重要的指導(dǎo)意義。
 4.2電路特性的優(yōu)化設(shè)計(jì)
  元器件的容差和工作環(huán)境溫度將對電路的穩(wěn)定性產(chǎn)生影響。傳統(tǒng)的設(shè)計(jì)方法很難對這種影響進(jìn)行全面的分析,也就很難實(shí)現(xiàn)整體的優(yōu)化設(shè)計(jì)。EDA技術(shù)中的溫度分析和統(tǒng)計(jì)分析功能可以分析各種溫度條件下的電路特性,便于確定最佳元件參數(shù)、最佳電路結(jié)構(gòu)以及適當(dāng)?shù)南到y(tǒng)穩(wěn)定裕度,真正做到優(yōu)化設(shè)計(jì)。
  4.3實(shí)現(xiàn)電路特性的模擬測試
  電子電路設(shè)計(jì)過程中,大量的工作是數(shù)據(jù)測試和特性分析。但是受測試手段和儀器精度所限,測試問題很多。采用EDA技術(shù)后,可以方便地實(shí)現(xiàn)全功能測試。
  5EDA技術(shù)的軟件
  目前EDA技術(shù)的軟件很多,如EWB、PROTELL等。
  1)EWB(Electronics Workbench)軟件。EWB是基于PC平臺(tái)的電子設(shè)計(jì)軟件,由加拿大Interactive Image Technologies Ltd.公司研制開發(fā),該軟件具有以下特點(diǎn):①集成化工具:一體化設(shè)計(jì)環(huán)境可將原理圖編輯、SPICE仿真和波形分析、仿真電路的在線修改、選用虛擬儀器、借助14種分析工具輸出結(jié)果等操作在一個(gè)集成系統(tǒng)中完成。②仿真器:交互式32位SPICE強(qiáng)化支持自然方式的模擬、數(shù)字和數(shù)/?;旌显W詣?dòng)插入信號(hào)轉(zhuǎn)換界面,支持多級(jí)層次化元件的嵌套,對電路的大小和復(fù)雜沒有限制。只有提供原理圖網(wǎng)絡(luò)表和輸入信號(hào),打開仿真開關(guān)就會(huì)在一定的時(shí)間內(nèi)將仿真結(jié)果輸出。③原理圖輸入:鼠標(biāo)點(diǎn)擊一拖動(dòng)界面,點(diǎn)一點(diǎn)自動(dòng)連線。分層的工作環(huán)境,手工調(diào)整元器件時(shí)自動(dòng)重排線路,自動(dòng)分配元器件的參考編號(hào),對元器件尺寸大小沒有限制。④分析:虛擬測試設(shè)備能提供快捷、簡單的分析。主要包括直流工作點(diǎn)、瞬態(tài)、交流頻率掃描、付立葉、噪聲、失真度、參數(shù)掃描、零極點(diǎn)、傳遞函數(shù)、直流靈敏度、最差情況、蒙特卡洛法等14種分析工具,可以在線顯示圖形并具有很大的靈活性。⑤設(shè)計(jì)文件夾:同時(shí)儲(chǔ)存所有的設(shè)計(jì)電路信息,包括電路結(jié)構(gòu)、SHCE參數(shù)、所有使用模型的設(shè)置和拷貝。全部存放在一個(gè)設(shè)計(jì)文件中,便于設(shè)計(jì)數(shù)據(jù)共享以及丟失或損壞的數(shù)據(jù)恢復(fù)。⑥接口:標(biāo)準(zhǔn)的SPICE網(wǎng)表,既可以輸入其他CAD生成的SHCE網(wǎng)絡(luò)連接表并行成原理圖供EWB使用,也可以將原理圖輸出到其他PCS工具中直接制作線路板。
  2)PROTEL軟件。廣泛應(yīng)用的Protel99主要分為兩大部分:用于電路原理圖的設(shè)計(jì)原理圖設(shè)計(jì)系統(tǒng)(Advanced Schematic)和用于印刷電路板設(shè)計(jì)的印刷電路板設(shè)計(jì)系統(tǒng)(Advanced PCB)。
  6EDA技術(shù)的發(fā)展趨勢
  高層次設(shè)計(jì)技術(shù)是近幾年來國際上在EDA技術(shù)領(lǐng)域研究、開發(fā)、應(yīng)用的熱門課題,并且發(fā)展非常迅速,成效異常顯著。這一領(lǐng)域主要包括功能強(qiáng)大的硬件描述語言、高層次綜合技術(shù)、高層次模擬技術(shù)以及測試設(shè)計(jì)的綜合技術(shù)等。相信隨著科學(xué)技術(shù)水平的不斷進(jìn)步,作為EDA發(fā)展方向的高層次自動(dòng)化設(shè)計(jì)技術(shù)必將取得更輝煌的成績。
23037