學(xué)習(xí)啦>論文大全>畢業(yè)論文>工學(xué)論文>工業(yè)設(shè)計(jì)>

電子工程設(shè)計(jì)論文

時(shí)間: 秋梅1032 分享

  隨著科技的發(fā)展,各種先進(jìn)技術(shù)在電子工程應(yīng)用的形式也越來越多樣化,電子工程的發(fā)展是一項(xiàng)重要成就,做出了巨大的貢獻(xiàn)。下文是學(xué)習(xí)啦小編為大家整理的關(guān)于電子工程設(shè)計(jì)論文的范文,歡迎大家閱讀參考!

  電子工程設(shè)計(jì)論文篇1

  談EDA技術(shù)在電子工程設(shè)計(jì)中的作用

  摘要:介紹EDA技術(shù)的基本概念和發(fā)展過程,以及EDA技術(shù)的基本特點(diǎn)和使用的軟件,以及EDA技術(shù)在電子工程設(shè)計(jì)中的重要作用,最后指出EDA技術(shù)發(fā)展前景。

  關(guān)鍵詞:EDA技術(shù) 電子工程 作用

  EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫,是從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助測試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來的。EDA技術(shù)是以計(jì)算機(jī)為工具,集數(shù)據(jù)庫、圖形學(xué)、圖論與拓?fù)溥壿?、?jì)算數(shù)學(xué)、優(yōu)化理論等多學(xué)科最新理論于一體,是計(jì)算機(jī)信息技術(shù)、微電子技術(shù)、電路理論、信息分析與信號處理的結(jié)晶。

  一、EDA技術(shù)的特點(diǎn)

  1.現(xiàn)代化EDA技術(shù)大多采用“自頂向下(Top-Down)”的設(shè)計(jì)程序,從而確保設(shè)計(jì)方案整體的合理和優(yōu)化,避免“自底向上(Bottom-up)”設(shè)計(jì)過程使局部優(yōu)化,整體結(jié)構(gòu)較差的缺陷。

  2.HDL給設(shè)計(jì)帶來很多優(yōu)點(diǎn):①語言公開可利用;②語言描述范圍寬廣;③使設(shè)計(jì)與工藝無關(guān);④可以系統(tǒng)編程和現(xiàn)場編程,使設(shè)計(jì)便于交流、保存、修改和重復(fù)使用,能夠?qū)崿F(xiàn)在線升級。

  3.自動(dòng)化程度高,設(shè)計(jì)過程中隨時(shí)可以進(jìn)行各級的仿真、糾錯(cuò)和調(diào)試,使設(shè)計(jì)者能早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯(cuò)誤,避免設(shè)計(jì)工作的浪費(fèi),同時(shí)設(shè)計(jì)人員可以拋開一些具體細(xì)節(jié)問題,從而把主要精力集中在系統(tǒng)的開發(fā)上,保證設(shè)計(jì)的高效率、低成本,且產(chǎn)品開發(fā)周期短、循環(huán)快。

  4.可以并行操作,現(xiàn)代EDA技術(shù)建立了并行工程框架結(jié)構(gòu)的工作環(huán)境。從而保證和支持多人同時(shí)并行地進(jìn)行電子系統(tǒng)的設(shè)計(jì)和開發(fā)。

  二、EDA技術(shù)的發(fā)展過程

  EDA技術(shù)的發(fā)展過程反映了近代電子產(chǎn)品設(shè)計(jì)技術(shù)的一段歷史進(jìn)程,大致分為3個(gè)時(shí)期。

  1.初級階段:早期階段即是CAD階段,大致在20世紀(jì)70年代,當(dāng)時(shí)中小規(guī)模集成電路已經(jīng)出現(xiàn),傳統(tǒng)的手工制圖設(shè)計(jì)印刷電路板和集成電路的方法效率低、花費(fèi)大、制造周期長。人們開始借助于計(jì)算機(jī)完成印制電路板一PCB設(shè)計(jì),將產(chǎn)品設(shè)計(jì)過程中高重復(fù)性的繁雜勞動(dòng)如布圖布線工作用二維平面圖形編輯與分析的CAD工具代替,主要功能是交互圖形編輯,設(shè)計(jì)規(guī)則檢查,解決晶體管級版圖設(shè)計(jì)、PCB布局布線、門級電路模擬和測試。

  2.發(fā)展階段:20世紀(jì)80年代是EDA技術(shù)的發(fā)展和完善階段,即進(jìn)入到CAE階段。由于集成電路規(guī)模的逐步擴(kuò)大和電子系統(tǒng)的日趨復(fù)雜,人們進(jìn)一步開發(fā)設(shè)計(jì)軟件,將各個(gè)CAD工具集成為系統(tǒng),從而加強(qiáng)了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),該時(shí)期的EDA技術(shù)已經(jīng)延伸到半導(dǎo)體芯片的設(shè)計(jì),生產(chǎn)出可編程半導(dǎo)體芯片。

  3.成熟階段:20世紀(jì)90年代以后微電子技術(shù)突飛猛進(jìn),一個(gè)芯片上可以集成幾百萬、幾千萬乃至上億個(gè)晶體管,這給EDA技術(shù)提出了更高的要求,也促進(jìn)了EDA技術(shù)的大發(fā)展。各公司相繼開發(fā)出了大規(guī)模的EDA軟件系統(tǒng),這時(shí)出現(xiàn)了以高級語言描述、系統(tǒng)級仿真和綜合技術(shù)為特征的EDA技術(shù)。

  三、EDA技術(shù)的作用

  EDA技術(shù)在電子工程設(shè)計(jì)中發(fā)揮著不可替代的作用,主要表現(xiàn)在以下幾個(gè)方面:

  1.驗(yàn)證電路設(shè)計(jì)方案的正確性

  設(shè)計(jì)方案確定之后,首先采用系統(tǒng)仿真或結(jié)構(gòu)模擬的方法驗(yàn)證設(shè)計(jì)方案的可行性,這只要確定系統(tǒng)各個(gè)環(huán)節(jié)的傳遞函數(shù)(數(shù)學(xué)模型)便可實(shí)現(xiàn)。這種系統(tǒng)仿真技術(shù)可推廣應(yīng)用于非電專業(yè)的系統(tǒng)設(shè)計(jì),或某種新理論、新構(gòu)思的設(shè)計(jì)方案。仿真之后對構(gòu)成系統(tǒng)的各電路結(jié)構(gòu)進(jìn)行模擬分析,以判斷電路結(jié)構(gòu)設(shè)計(jì)的正確性及性能指標(biāo)的可實(shí)現(xiàn)性。這種量化分析方法對于提高工程設(shè)計(jì)水平和產(chǎn)品質(zhì)量,具有重要的指導(dǎo)意義。

  2.電路特性的優(yōu)化設(shè)計(jì)

  元器件的容差和工作環(huán)境溫度將對電路的穩(wěn)定性產(chǎn)生影響。傳統(tǒng)的設(shè)計(jì)方法很難對這種影響進(jìn)行全面的分析,也就很難實(shí)現(xiàn)整體的優(yōu)化設(shè)計(jì)。EDA技術(shù)中的溫度分析和統(tǒng)計(jì)分析功能可以分析各種溫度條件下的電路特性,便于確定最佳元件參數(shù)、最佳電路結(jié)構(gòu)以及適當(dāng)?shù)南到y(tǒng)穩(wěn)定裕度,真正做到優(yōu)化設(shè)計(jì)。

  3.實(shí)現(xiàn)電路特性的模擬測試

  電子電路設(shè)計(jì)過程中,大量的工作是數(shù)據(jù)測試和特性分析。但是受測試手段和儀器精度所限,測試問題很多。采用EDA技術(shù)后,可以方便地實(shí)現(xiàn)全功能測試。

  四、EDA技術(shù)的軟件

  1.EWB(Electronics Workbench)軟件。EWB是基于PC平臺(tái)的電子設(shè)計(jì)軟件,由加拿大Interactive Image Technologies Ltd.公司研制開發(fā),該軟件具有以下特點(diǎn):

  ①集成化工具:一體化設(shè)計(jì)環(huán)境可將原理圖編輯、SPICE仿真和波形分析、仿真電路的在線修改、選用虛擬儀器、借助14種分析工具輸出結(jié)果等操作在一個(gè)集成系統(tǒng)中完成。

 ?、诜抡嫫?交互式32位SPICE強(qiáng)化支持自然方式的模擬、數(shù)字和數(shù)/模混合元件。自動(dòng)插入信號轉(zhuǎn)換界面,支持多級層次化元件的嵌套,對電路的大小和復(fù)雜沒有限制。只有提供原理圖網(wǎng)絡(luò)表和輸入信號,打開仿真開關(guān)就會(huì)在一定的時(shí)間內(nèi)將仿真結(jié)果輸出。

  ③原理圖輸入:鼠標(biāo)點(diǎn)擊一拖動(dòng)界面,點(diǎn)一點(diǎn)自動(dòng)連線。分層的工作環(huán)境,手工調(diào)整元器件時(shí)自動(dòng)重排線路,自動(dòng)分配元器件的參考編號,對元器件尺寸大小沒有限制。

 ?、芊治?虛擬測試設(shè)備能提供快捷、簡單的分析。主要包括直流工作點(diǎn)、瞬態(tài)、交流頻率掃描、付立葉、噪聲、失真度、參數(shù)掃描、零極點(diǎn)、傳遞函數(shù)、直流靈敏度、最差情況、蒙特卡洛法等14種分析工具,可以在線顯示圖形并具有很大的靈活性。

 ?、菰O(shè)計(jì)文件夾:同時(shí)儲(chǔ)存所有的設(shè)計(jì)電路信息,包括電路結(jié)構(gòu)、SHCE參數(shù)、所有使用模型的設(shè)置和拷貝。全部存放在一個(gè)設(shè)計(jì)文件中,便于設(shè)計(jì)數(shù)據(jù)共享以及丟失或損壞的數(shù)據(jù)恢復(fù)。

 ?、藿涌?標(biāo)準(zhǔn)的SPICE網(wǎng)表,既可以輸入其他CAD生成的SHCE網(wǎng)絡(luò)連接表并行成原理圖供EWB使用,也可以將原理圖輸出到其他PCS工具中直接制作線路板。

  2.PROTEL軟件。廣泛應(yīng)用的Protel99主要分為兩大部分:用于電路原理圖的設(shè)計(jì)原理圖設(shè)計(jì)系統(tǒng)(Advanced Schematic)和用于印刷電路板設(shè)計(jì)的印刷電路板設(shè)計(jì)系統(tǒng)(Advanced PCB)。

  五、EDA技術(shù)的發(fā)展趨勢

  高層次設(shè)計(jì)技術(shù)是近幾年來國際上在EDA技術(shù)領(lǐng)域研究、開發(fā)、應(yīng)用的熱門課題,并且發(fā)展非常迅速,成效異常顯著。這一領(lǐng)域主要包括功能強(qiáng)大的硬件描述語言、高層次綜合技術(shù)、高層次模擬技術(shù)以及測試設(shè)計(jì)的綜合技術(shù)等。相信隨著科學(xué)技術(shù)水平的不斷進(jìn)步,作為EDA發(fā)展方向的高層次自動(dòng)化設(shè)計(jì)技術(shù)必將取得更輝煌的成績。

  電子工程設(shè)計(jì)論文篇2

  電子工程設(shè)計(jì)要點(diǎn)與難點(diǎn)對策

  [摘 要]隨著現(xiàn)代科技水平的不斷發(fā)展,電子產(chǎn)品越來越受到人們的青睞?,F(xiàn)在的生產(chǎn)生活都與電子產(chǎn)品電子工程息息相關(guān),所以說對于電子工程設(shè)計(jì)問題需要特別關(guān)注。我們現(xiàn)階段尤其要重視電子工程設(shè)計(jì),雖然這一行業(yè)已有許多的成就,但是在設(shè)計(jì)過程中仍然不乏存在疑點(diǎn)和重難點(diǎn),需要我們?nèi)フ莆蘸屠斫?,從而才能保證領(lǐng)悟到這個(gè)行業(yè)的精髓。該文章便是對電子工程設(shè)計(jì)中的重難點(diǎn)進(jìn)行剖析,從而找到解決問題的對策。

  [關(guān)鍵詞]電子工程設(shè)計(jì);要點(diǎn);難點(diǎn);解決對策

  在當(dāng)今這個(gè)社會(huì)背景下,電子工程設(shè)計(jì)是十分重要且有意義的,需要專業(yè)人士對其進(jìn)行深入的研究和分析。在電子工程設(shè)計(jì)中包含了多方面的內(nèi)容,而且還存在著很多的重難點(diǎn)問題急需解決。所以在電子工程設(shè)計(jì)中,我們需要對各方面的重難點(diǎn)問題進(jìn)行認(rèn)真仔細(xì)地研究,對于基礎(chǔ)設(shè)計(jì)問題要點(diǎn)需掌握,對于可能存在的問題進(jìn)行防范,并對這些問題提出與此相關(guān)的解決方案。只有全面系統(tǒng)的掌握電子工程設(shè)計(jì)的相關(guān)要點(diǎn)與難點(diǎn),才能熟悉的運(yùn)用到生活生產(chǎn)中。

  一、電子工程當(dāng)前的發(fā)展現(xiàn)狀

  我們將研究電路與系統(tǒng)、通信、電磁場與微波技術(shù)甚至是數(shù)字信號的處理看作是一門專門的工程學(xué),該學(xué)科稱為電子工程學(xué)。電子工程的使用范圍特別廣,常??梢娪陔妱?dòng)設(shè)備、設(shè)有控制技術(shù)、計(jì)算機(jī)技術(shù)以及信息技術(shù)的電子自動(dòng)開關(guān)等,上述設(shè)備是和我們平常生活密切相關(guān)的,給我們的生產(chǎn)生活、學(xué)習(xí)工作帶來了極大的便利,也是我們生活中不可缺少的一部分[1]。通俗地說,我們可以將一個(gè)國家的科技水平考核標(biāo)準(zhǔn)認(rèn)為是該國家電子工程的發(fā)展度,不但如此,一個(gè)國家的教育和科研中,電子工程也占據(jù)著巨大作用,是科研和教育的一個(gè)重要保證。我們的生產(chǎn)生活中,完全離不開電子工程,電子工程早已隨著信息社會(huì)的到來,融入到了我們生活的各個(gè)角落。

  二、電子工程中存在的函需解決的問題

  電子工程在它的快速發(fā)展過程中,也逐漸地遇到了更多較為棘手且需要快速解決的問題,其中包括電子產(chǎn)品的發(fā)展方向,比如說電子產(chǎn)品向小體積、大容量以及高集成方向發(fā)展;所需要開發(fā)和應(yīng)用的新技術(shù)有靜電場中存在的幾種效應(yīng)對于電子工程的危害;對于原因不明的安全隱患沒有及時(shí)地發(fā)現(xiàn)和防范問題。為了使電子工程取得進(jìn)步,我們所采用的EDA技術(shù)中,我們需要對靜電工程進(jìn)行處理,并且要對它的工作區(qū)域加以劃分,對其工作環(huán)境要求、人體防靜電系統(tǒng)以及靜電設(shè)備都需要嚴(yán)格按照規(guī)定投入,不可存在任何質(zhì)量問題[2]。對于靜電危害中的ESD我們是很難察覺的,但是在電子工程故障檢測技術(shù)中各個(gè)要求都是詳細(xì)列出,因而在電子工程中除一般的基本事項(xiàng)外,還需要對最開始的設(shè)計(jì)基礎(chǔ)加以重視,下文便對設(shè)計(jì)中存在的重難點(diǎn)以及問題做出詳細(xì)分析。

  三、針對電子工程中所存在的問題分析其設(shè)計(jì)的要點(diǎn)

  在日新月異的電子科技發(fā)展中,電子產(chǎn)品的發(fā)展更是神速,它的發(fā)展方向是高集成、大容量、小體積,但由于這些高精度的進(jìn)步,使得電子產(chǎn)品在設(shè)計(jì)時(shí)的難度也越來越復(fù)雜,這也可以在電子產(chǎn)品設(shè)計(jì)中看作是一項(xiàng)巨大的挑戰(zhàn)。人們?yōu)榱私鉀Q這個(gè)難題研究出來EDA(Eleetornie,DesignAtllomati)技術(shù),它是依靠巨大容量編程的邏輯器件而得到眾人的青睞,在運(yùn)行過程中是使用硬件描述語言,與此同時(shí)還使用了多種高科技工具,最后得到對特定的目標(biāo)芯片進(jìn)行編譯和邏輯映射的功能,造出集成電子系統(tǒng)或者是專用集成芯片。下文對EDA技術(shù)中各個(gè)部分的設(shè)計(jì)要點(diǎn)進(jìn)行詳細(xì)的解釋說明。

  第一點(diǎn)是對電路設(shè)計(jì)中的仿真分析。

  在電子工程設(shè)計(jì)方案已經(jīng)確卻之后我們需要對方案的合理性、科學(xué)性以及可行性進(jìn)行研究分析。在EDA技術(shù)中通常是對各個(gè)環(huán)節(jié)的傳遞函數(shù)進(jìn)行數(shù)學(xué)模擬分析。該仿真技術(shù)還可以運(yùn)用到非電子工程專業(yè)設(shè)計(jì)、新思路的驗(yàn)證以及新理論的解釋,與此同時(shí)還可以對電路系統(tǒng)設(shè)計(jì)的正確性、完整性以及各個(gè)指標(biāo)的可實(shí)現(xiàn)性進(jìn)行初略的判斷[3]。在我國的電子工程設(shè)計(jì)中量化分析可以使得電子工程的整體水平大幅度上升,對于產(chǎn)品質(zhì)量也有著不可磨滅的指導(dǎo)思想意義。

  第二點(diǎn)便是對于電路特性的優(yōu)化設(shè)計(jì)。

  電子產(chǎn)品若是想要獲得電路的穩(wěn)定以及安全,就必須擁有最完美的容差以及穩(wěn)定的工作環(huán)境,對于傳統(tǒng)的電子工程來說,這一要求是達(dá)不到標(biāo)準(zhǔn)的,也無法對其進(jìn)行全面而又仔細(xì)地分析。然而我們可以通過采用EDA技術(shù)來保證電路的穩(wěn)定以及安全,原因在于使用EDA技術(shù)中的溫度分析和統(tǒng)計(jì)分析能夠得出最佳的元器件參數(shù)、電路結(jié)構(gòu)和最佳環(huán)境溫度,計(jì)算出上述參數(shù)后能夠科學(xué)有效地簡化電子工程設(shè)計(jì)方案,與此同時(shí)能夠使得電子產(chǎn)品的質(zhì)量得到足夠的保證[4]。

  第三點(diǎn)便是電路特性的有效分析。

  在實(shí)際工作生活中對于電路特性的有效分析我們是使用EDA技術(shù),這也是EDA技術(shù)的主要內(nèi)容之一,原因在于電子工程在設(shè)計(jì)的時(shí)候需要對數(shù)據(jù)測試以及特性進(jìn)行有效性分析,從而建立出基本理論。但是通過這幾年的實(shí)踐運(yùn)用發(fā)現(xiàn)傳統(tǒng)的電子工程設(shè)計(jì)方法中還是存在著很大的局限性,比如說在技術(shù)和硬件設(shè)計(jì)方面仍存在難以攻克的難關(guān)。在傳統(tǒng)的測試結(jié)果中也是同樣存在很大問題,這使得電路測試的精確性大大降低,嚴(yán)重地會(huì)影響電子產(chǎn)品在后期的使用。采用EDA技術(shù)之后,可以高效地解決這個(gè)方面的問題,它不單單能夠?qū)﹄娮庸こ淘O(shè)計(jì)進(jìn)行全面檢查,而且還能夠得出高精度的結(jié)果,不但如此它還能夠通過自頂而下的設(shè)計(jì)程序,從源頭上避免了方案的局限限以及結(jié)構(gòu)的差異性,還可以使得設(shè)計(jì)方案更為合理[5]。

  第四點(diǎn)是對靜電效應(yīng)的防護(hù)。

  在電子工程中存在很多的靜電危害,因而我們要做好全面地靜電維護(hù)措施。對于防靜電工作區(qū)域要進(jìn)行詳細(xì)明確地劃分,這樣做可以從根本上來杜絕電子工程的靜電敏感時(shí)期發(fā)生;注意環(huán)境的清潔和整齊也可以有效地使得靜電場減少;同時(shí)我們還可以對靜電防護(hù)進(jìn)行社會(huì)知識(shí)儲(chǔ)備,以備不時(shí)之需[6]。在電子工程故障檢查上,我們需要始終如一地將傳統(tǒng)檢查和智能化檢查相互融為一體,組合成有效的檢查方案。對于檢查對象的特點(diǎn)我們要貫徹落實(shí)高科技電子技術(shù)推廣和使用,不斷地提高電子產(chǎn)品質(zhì)量,完善電子產(chǎn)品設(shè)計(jì)方案,從而為電子工程檢測技術(shù)的合理性以及可行性提供有利保障。

  四.結(jié)論

  近幾年我國的電子產(chǎn)品專利申請總量在不斷地上升,在國際上彰顯了我國的科技競爭力以及知識(shí)產(chǎn)權(quán)保護(hù)水平。但是對于如今存在的問題還需要我們不斷地反省,不斷地糾正、總而言之,電子設(shè)計(jì)的準(zhǔn)則是不能離開實(shí)際情況而發(fā)展,這種脫離社會(huì)現(xiàn)實(shí)的發(fā)展最后都會(huì)走向失敗。因而只能將發(fā)展方向看作是最為基本的出發(fā)點(diǎn),把解決發(fā)展中遺留的問題看作是最終目標(biāo),對電子工程不斷地研究、開發(fā)出切實(shí)可行且有效的設(shè)計(jì)方案,從而適應(yīng)電子工程的快速發(fā)展,進(jìn)而推動(dòng)社會(huì)穩(wěn)定進(jìn)步。

  參考文獻(xiàn)

  [1] 王立君.電子工程設(shè)計(jì)要點(diǎn)與難點(diǎn)對策[J].科技傳播,2013,19:54+48.

  [2] 王策.分析電子工程設(shè)計(jì)的要點(diǎn)[J].河南科技,2013,22:73.

  [3] 文東云.機(jī)械電子工程設(shè)計(jì)中的技術(shù)要點(diǎn)探討[J].電子技術(shù)與軟件工程,2015,06:142.

  [4] 于洋.分析EDA技術(shù)在電子工程設(shè)計(jì)中的應(yīng)用[J].電子制作,2012,12:83.

  [5] 譚金寬.淺析電工電子綜合工程設(shè)計(jì)訓(xùn)練實(shí)驗(yàn)教學(xué)的探索與實(shí)踐[J].青年文學(xué)家,2013,36:221.

2878105