學習啦>實用范文>心得體會>讀書心得>

eda實習心得體會

時間: 戚苗998 分享

  這次eda課程設(shè)計的實習激起了我爾后勤奮進修的樂趣,我想這將對我以后的進修發(fā)作主動的影響。其次,此次課程設(shè)想讓我充份認識到到團隊,在此分享心得體會。下面是學習啦小編為大家收集整理的eda實習心得體會,歡迎大家閱讀。

  eda實習心得體會篇1

  不到一周的EDA實訓(xùn)就這樣結(jié)束了,雖然時間有些短暫,學習的有些倉促,但是這次實訓(xùn)我是認真的。我沒有像以往一樣單一的照貓畫虎,沒有等待著參考別人的成果,而是一邊畫電路圖,一邊分析原理,遇到不會的,自己先勇于嘗試,然后與同學交流。雖然有很多地方仍然不是很明白,但是自己至少盡心盡力了。

  初次使用Multisim軟件,加之又是英文版的,會很吃力,我們可能找不準元器件,可能因不懂它的屬性而用錯,像這樣的問題不是沒出現(xiàn)過,就在完成實訓(xùn)第一題目時這些錯誤就出現(xiàn)了。當時因為用錯電阻的屬性,導(dǎo)致仿真出的波形與別人不同,然而這個問題在當時困擾了我和同學很久,一直找不出問題出在哪里,后來還好有老師的指導(dǎo),才找出問題的所在(我們用的是電流型電阻)。

  實訓(xùn)內(nèi)容包括了對電路、模擬電子、數(shù)字電路的簡單操作,我們通過Multisim軟件畫出電路圖,用虛擬的儀表對電路參數(shù)進行測量,用虛擬示波器對電路輸入輸出波形進行觀測,這不僅讓我們熟悉使用該軟件,同時體驗軟件仿真在電路分析中的重要作用,利用該軟件不僅可以準確測量各參量,還可幫助我們測試電路的性能。它確實很方便實用。

  雖然有了這種強大軟件的幫助,但是對于我們這些初學者來說,必須學會自己分析電路原理,來判斷測試結(jié)果。電路、模電、數(shù)電是一年前學的,或許是因時間長,好多知識點被遺忘了,或許是當時就沒將這三門功課學好,對知識點的生疏,導(dǎo)致實訓(xùn)的進行并不是很順利,有時半天分析不出一個原理圖。

  實訓(xùn)時間很短,該軟件的學習過程還很長,我不希望自己因?qū)嵱?xùn)結(jié)束而停止對其的認識和學習。寫到這,我想起前幾天一位留學回國的姐說過的話:“你現(xiàn)在所學的那些專業(yè)軟件,你必須深入了解和學習;就學校進行一到兩禮拜的學習是遠遠不夠的,自己課后必須加強學習”。之前的實訓(xùn)機會已經(jīng)被荒廢了,現(xiàn)在的機會自己應(yīng)該好好珍惜。大學的美好時光所剩不多,如果覺得自己之前沒有盡心盡力,那么接下來的時間自己好好珍惜吧。

  eda實習心得體會篇2

  EDA課程設(shè)計心得體會,這次EDA課程設(shè)計歷時兩個星期,通過這次設(shè)計,通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,在設(shè)計的過程中遇到問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,這次設(shè)計的數(shù)字秒表還是比較成功的,在設(shè)計中遇到了很多問題,PLC實訓(xùn)心得,在學完P(guān)LC理論課程后我們做了課程設(shè)計,此次設(shè)計以分組的方式進行,沒有過實際開發(fā)設(shè)計的經(jīng)驗,我們基本學會了PLC設(shè)計的步聚和基本方法。

  這次EDA課程設(shè)計歷時兩個星期,在整整兩個星期的日子里,可以說是苦多于甜,但是可以學的到很多很多的東西,同時不僅可以鞏固以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次設(shè)計,進一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。特別是當每一個子模塊編寫調(diào)試成功時,心里特別的開心。但是在編寫頂層文件的程序時,遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯誤,在細心的檢查下,終于找出了錯誤和警告,排除困難后,程序編譯就通過了,心里終于舒了一口氣。在波形仿真時,也遇到了一點困難,想要的結(jié)果不能在波形上得到正確的顯示:在設(shè)定輸入的時鐘信號后,數(shù)字秒表開始計數(shù),但是始終看不到秒和小時的循環(huán)計數(shù)。后來,在數(shù)十次的調(diào)試之后,才發(fā)現(xiàn)是因為輸入的時鐘信號對于器件的延遲時間來說太短了。經(jīng)過屢次調(diào)試,終于找到了比較合適的輸入數(shù)值:時鐘周期設(shè)置在15秒左右比較合適。另外,Endtime的值需要設(shè)置的長一點:500us左右,這樣就可以觀察到完整的仿真結(jié)果。

  其次,在連接各個模塊的時候一定要注意各個輸入、輸出引腳的線寬,因為每個線寬是不一樣的,只要讓各個線寬互相匹配,才能得出正確的結(jié)果,否則,出現(xiàn)任何一點小的誤差就會導(dǎo)致整個文件系統(tǒng)的編譯出現(xiàn)錯誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當前電路所適合的器件,編譯才能得到完滿成功。

  通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。

  在設(shè)計過程中,總是遇到這樣或那樣的問題。有時發(fā)現(xiàn)一個問題的時候,需要做大量的工作,花大量的時間才能解決。自然而然,我的耐心便在其中建立起來了。為以后的工作積累了經(jīng)驗,增強了信心。

  eda實習心得體會篇3

  本學期末我們進行了EDA實訓(xùn),我們組做的是四路智能搶答器,不過本次實訓(xùn)與以往最大的不同是在熟練并掌握Verilog硬件描述語言的基礎(chǔ)上,運用Quartus軟件,對其進行波形以及功能的仿真。我們組搶答器的設(shè)計要求是:可容納四組參賽者,每組設(shè)置一個搶答按鈕供搶答者使用,電路具有第一搶答信號的鑒別和鎖存功能,系統(tǒng)具有計分、倒計時和倒計時鎖存等電路,輸入信號有:各組的搶答按鈕A、B、C、D,系統(tǒng)清零信號CLR,系統(tǒng)時鐘信號CLK,計分復(fù)位端RST,加分按鈕端ADD,計時預(yù)置控制端LDN,計時使能端EN,計時預(yù)置數(shù)據(jù)調(diào)整按鈕可以用如TA、TB表示;系統(tǒng)的輸出信號有:四個組搶答成功與否的指示燈控制信號輸出口可用如LEDA、LEDB、LEDC、LEDD表示,四個組搶答時的計時數(shù)碼顯示控制信號若干,搶答成功組別顯示的控制信號若干,各組計分動態(tài)顯示的控制信號若干。整個系統(tǒng)至少有三個主要模塊:搶答鑒別模塊、搶答計時模塊、搶答計分模塊。

  實訓(xùn)的第一天我們組三個人就開始對搶答器的各部分源程序進行調(diào)試,由于剛開始對于quartus2軟件用的不是很熟練,所以在第一天幾乎上沒有啥大的進展,一直都在改程序中的錯誤。在不停的重復(fù)的編譯、改錯。拿著EDA修改稿、資料書檢查出錯的地方,一邊又一遍的校對分析其中的錯誤。

  在實訓(xùn)中我們遇到了很多的問題。為了解決這些問題我和他們兩個都在的想辦法通過各種渠道尋找解決問題的方法。上網(wǎng)查資料、問同學、圖書館查資料、問老師、自己想辦法,其實最有效的方法還是自己去想那樣學到的東西才會更加的深刻記得時間也是最長的,他人的幫助當然是很好的,但只是暫時的要想真正的學到東西還是要靠自己去想辦法。不能一有問題就希望要他人幫忙,一定自己先好好想想實在解決不了的再去問老師找同學。

  由于在一開始的時候?qū)uartus2軟件的不熟悉耽誤了很多的時間,在接下來的幾天里遇到了不少的問題。剛開始的時候是源程序中的錯誤一直在那改,好不容易幾個模塊中的錯誤都一個個排除了,但當把他們放到一起時問題就又出現(xiàn)了。于是又開始了檢查修改,可是弄了好長時間也沒有弄明白,最后找了一個在實驗室的同學說是頂層文件有問題。于是晚上又找了些關(guān)于頂層文件資料還有課本上的例子。最后對步驟已經(jīng)有了很熟練的掌握,很快就完成了程序編譯、仿真、下載到最后的調(diào)試。

  “紙上談來終覺淺,絕知此事要躬行。”在這短暫的兩周實訓(xùn)中深深的感覺到了自己要學的東西實在是太多了,自己知道的是多么的有限,由于自身專業(yè)知識的欠缺導(dǎo)致了這次實訓(xùn)不是進行的很順利,通過這次實訓(xùn)暴露了我們自身的諸多的不足之處,我們會引以為鑒,在以后的生活中更應(yīng)該努力的學習。

  雖然實訓(xùn)僅僅進行了兩個星期就匆匆的結(jié)束了,但在這兩個星期中收獲還是很多的。實訓(xùn)的目的是要把學過的東西拿出來用這一個星期的實訓(xùn)中不僅用了而且對于quartus2軟件的使用也更加的得心應(yīng)手,這次實訓(xùn)提高了我們的動手能力、理論聯(lián)系實際的能力、發(fā)現(xiàn)問題分析問題解決問題的能力。實訓(xùn)只要你認真做了都是對自己能力一次很大的提高。

  本次設(shè)計過程中得到我們老師的悉心指導(dǎo)。甕老師多次詢問設(shè)計進程,并為我們指點迷津,幫助我們理順設(shè)計思路,精心點撥,時刻在幫助著我們?nèi)ヌ岣咦约?。甕老師一絲不茍的作風,嚴謹求實的態(tài)度,踏踏實實的精神,不僅是我學習的楷模,并將積極影響我今后的學習和工作。在此誠摯地向甕老師致謝。

eda實習心得體會

這次eda課程設(shè)計的實習激起了我爾后勤奮進修的樂趣,我想這將對我以后的進修發(fā)作主動的影響。其次,此次課程設(shè)想讓我充份認識到到團隊,在此分享心得體會。下面是學習啦小編為大家收集整理的eda實習心得體會,歡迎大家閱讀。 eda實習心得
推薦度:
點擊下載文檔文檔為doc格式
2619345